ProcesadoresTutoriales

Intel 4: analizamos el proceso de fabricación de próxima generación

Intel 4 es el nuevo nodo de fabricación que Intel comenzará a usar para dejar atrás al actual Intel 7. Este nodo traerá mejoras notables, y será el primero en superar al problemático nodo de 10 nm que Intel ha venido arrastrando durante años, ya que incluso el Intel 7 se trataba de una nueva mejora del 10 nm. Además, será el primero en emplear máquinas de fotolitografía EUV.

Esto debería traer importantes mejoras en el rendimiento y en el consumo de los futuros chips de Intel. Por eso merece la pena analizar qué trae este nodo y qué podemos esperar de él

Quizás también te puede interesar:

Introducción

Intel tiene previsto comenzar a producir en masa sus chips con Intel 4 inminentemente. Y, coma prometió, esta tecnología de fabricación CMOS para transistores FinFET debería estar mucho más optimizada para la alta densidad, eficiencia y alto rendimiento. Superando así de una vez el fantasma de los 10nm que estaba sumiendo a Intel en un grave problema de competitividad.

Aunque el nodo de fabricación Intel 4 ya está listo para la producción, no veremos las primeras unidades de procesadores fabricadas con él hasta más adelante. Concretamente serán los Intel Core de 14ª Generación los que estrenarán este nodo. Y podría ser un nodo prometedor, ya que incluso NVIDIA parece estar tanteando el servicio de foundry de Intel para fabricar chips en Norteamérica en vez de en TSMC.

Como he comentado anteriormente, en el proceso Intel 4 será en el primer en el que se empleen máquinas de fotolitografía EUV (Extreme UltraViolet), dejando atrás las DUV (Deep UV) que se usaban hasta el momento. Esto permitirá fabricar detalles más pequeños y con una mejor precisión. No obstante, por los retrasos con Intel 10 e Intel 7, ahora Intel tiene un largo camino para recuperar el tiempo de desventaja que lleva con TSMC en EUV.

Además, también es un momento crítico para la empresa. Ni las mejoras y re-mejoras del los 10nm convencieron a los expertos, y se notaba en los TDP o consumos de los chips lanzados por Intel. Tampoco la variante SuperFin aportó demasiado, o el proceso renombrado como Intel 7. Ahora sí es verdad que Intel da un paso más y saldrá de los 10 nm de una vez por todas.

Quizás también te interese conocer qué es un GAA y FinFET.

Parámetros físicos de Intel 4: 2 veces más de densidad que Intel 7

Intel 4

Cuando analizamos los detalles del nodo Intel 4, vemos que hay cosas interesantes que destacar. Intel se esfuerza por todos los medios en seguir aumentando la densidad de transistores integrados y mantener viva la Ley de Moore, aunque también matando de forma simultánea la de Dennard.

Intel 4 vs Intel 7
Intel 4 Intel 7 Diferencia
Tamaño de aleta (Fin) 30nm 34nm 0,88x
Tamaño del Poli-Si de la puerta de contacto 50nm 54/60 nanómetro 0,83x
Tamaño de metal (capa M0) 30nm 40nm 0,75x
Altura para celdas de la biblioteca Intel HP (High-Performance) 240h 408h 0,59x
Área (Altura de la biblioteca x CPP) 12Knm2 24.4Knm2 0,49x

De estas cifras publicadas por Intel en los medios, se ve puede apreciar un importante salto con respecto a Intel 7. Todo se ha miniaturizado más, lo que permitirá integrar más transistores en una menor superficie, logrando así mejores velocidades de conmutación (y mejores frecuencias de reloj), además de TDPs más bajos (menos calor generado y menos consumo).

El resultado total es que Intel debería tener un aumento de densidad de 2 veces lo visto en Intel 7. Es decir, se reduciría a la mitad el tamaño de los transistores, más en la línea de los pasos que dan sus competidores, especialmente TSMC y Samsung.

Por supuesto, no todos los tipos de estructuras escalan por el mismo factor con un nuevo nodo de proceso, e Intel 4 no es diferente. Según la empresa, las celdas SRAM en Intel 4 tienen solo alrededor de 0,77 veces el tamaño de las mismas celdas en Intel 7. Por lo tanto, mientras que las celdas lógicas estandarizadas han duplicado su densidad, la densidad de SRAM solo ha mejorado en un 30 % más o menos. Y, como sabes, esto afecta a la memoria caché, que está fabricada con este tipo de celda… ¿Hará Intel algo similar a AMD 3D V-Cache para mejorar esta limitación?

Y, desafortunadamente, aunque Intel habla de densidad con respecto a las celdas estándar, no revela oficialmente las cifras reales de densidad de transistores. Por ahora tenemos que creernos que se reducirá 2x. Según lo que sabemos sobre Intel 7 y su densidad de 80 millones de transistores por mm 2 para bibliotecas Intel HP, colocaría las bibliotecas HP de Intel 4 en alrededor de 160 MTr/mm 2.

Dado que estas cifras son para las bibliotecas de alto rendimiento de menor densidad de Intel, la pregunta de seguimiento obvia sería cuáles son las cifras para las bibliotecas de alta densidad, que tradicionalmente exprimen aún más los cambios de velocidades de reloj. Sin embargo, resulta que Intel no desarrollará bibliotecas de alta densidad para Intel 4. En cambio, Intel 4 será un nodo puro de alto rendimiento, y los diseños de alta densidad vendrán con el nodo sucesivo: Intel 3.

Es decir, Intel vuelve a adoptar una estrategia similar a la Tic-Tac del pasado, solo que en este caso con los nodos de fabricación. Esto es parte del esfuerzo tan enorme al que se está enfrentando la empresa tras los enormes problemas con los 10 nm.

Es decir, con este modelo de enfoque Intel desarrolla un nodo inicial basado en una nueva tecnología (por ejemplo, máquinas EUV o High-NA), y luego le sigue un nodo más refinado/optimizado. En el caso de Intel 4, si bien está realizando un importante trabajo pionero para EUV dentro de las fábricas de Intel, los planes más grandes de la compañía son que Intel 3 sea su nodo EUV a largo plazo y duradero para sus clientes de Intel Foundry Services (IFS).

Es decir, Intel 4 no tendrá bibliotecas de alta densidad, esto estará programado para el reemplazo de Intel 3, que llegaría más o menos un año más tarde. Es decir, da la sensación de que Intel 4 solo es un proceso intermedio, como un parche hasta la llegada de Intel 3, en el que hay puestas más esperanzas.

Cambios en las capas metálicas: ¿el cobalto continua?

transistores

En los intentos desesperados de exprimir su nodo de 10 nm y sacar de el lo máximo mientras Intel estaba atascada en dicho nodo y veía cómo la competencia avanzaba, en la empresa hicieron un cambio en algunos materiales de las capas metálicas, sustituyendo al cobre por cobalto en las capas más bajas. Estas interconexiones, según Intel, harían que creciera la longevidad del transistor, ya que tenían mayor resistencia a la electromigración.

Desafortunadamente para Intel, el cobalto no es tan bueno en cuanto al rendimiento (especialmente para la frecuencia de reloj) como el cobre, y durante mucho tiempo se sospechó que el cambio al cobalto fue uno de los principales problemas por los que Intel se atascó en los 10 nm. Y es que el cobalto presenta mayores dificultades para adherirse al silicio, mientras que el cobre no tiene estos problemas.

Sin Intel reducía aún más su nodo, los problemas con el cobalto se multiplicarían, por eso se mantuvieron tanto tiempo con los 10nm

Sin embargo, para seguir avanzando y reconocer que se equivocaron, Intel ha dado un paso atrás. Se ha vuelto al cobre, aunque aún siguen usando el cobalto en su nuevo nodo. Pero, en lugar de un cobalto puro, se utiliza un cobre mejorado que Intel denomina eCu. Esto es básicamente cobre revestido de cobalto.

La idea tras eCu es tener lo mejor de ambos mundos, el rendimiento de la metalización de cobre, y los beneficios de una mayor resistencia a la electromigración del cobalto. No obstante, hay que destacar que el uso del cobalto está aumentando por parte de Intel, a pesar de no usarlo de forma pura como en los 10nm. Y es que mientras en Intel 10 solo se usaba para el contacto de la puerta del transistor y las dos primeras capas de interconexiones metálicas (M0 y M1), en Intel 4 se usará hasta las 5 primeras capas de metal.

Por otro lado, hay que destacar que ya no se usará más cobalto en la puerta del transistor, ni tampoco eCu, ahora Intel empleará tungsteno puro, sin mezclar con cobalto. Puedes ver más detalles de las capas aquí:

Pila de metal Intel 4
Capa Metal Dimensión
Aleta (Fin) 30nm
Puerta Tungsteno 50nm
Metálica 0 Cobre con revestimiento de cobalto 30nm
Metálica 1 Cobre con revestimiento de cobalto 50nm
Metal 2 Cobre con revestimiento de cobalto 45nm
Metálica 3 Cobre con revestimiento de cobalto 50nm
Metal 4 Cobre con revestimiento de cobalto 45nm
Metálica 5, 6 Cobre 60nm
Metálica 7, 8 Cobre 84nm
Metálica 9, 10 Cobre 98nm
Metálica 11, 12 Cobre 130nm
Metálicos 13, 14 Cobre 160nm
Metálica 15 Cobre 280nm
Metal Gigante 0 Cobre 1080nm
Metal gigante 1 Cobre 4000nm

En total, la cantidad de capas de metal para Intel 4 ha aumentado en comparación con Intel 7. Mientras que este último tenía 15 capas de metal para la lógica, Intel 4 incluye 16, a esto hay que agregar dos capas de enrutamiento para el suministro de energía (Metal Gigante).

Además de las mejoras en las dimensiones de los transistores y las interconexiones, y los nuevos materiales, Intel también está pretendiendo hacer otras mejoras para Intel 4, moviéndose hacia un diseño de interconexión en cuadrícula. Es decir, solo permite que las vías o líneas entre capas de metal se coloquen en una cuadrícula predeterminada. Anteriormente se podían colocar en cualquier lugar, lo que permitía mayor flexibilidad, pero también tenía sus desventajas.

Según Intel, para Intel 4, con estas cuadrículas o grids se mejora el rendimiento del proceso al reducir la variabilidad. Por ejemplo, se podrá evitar usar diseños complejos y exposiciones múltiples de patrones con EUV para las interconexiones. Es decir, reducir la cantidad de pasos o máscaras empleadas para fabricar el chip.

Aunque Intel no ha desvelado cuáles son las ganancias en este sentido, parce que Intel 4 podría tener hasta un 20% menos de máscaras que Intel 7. Si Intel no hubiera hecho esto, el precio de las máscaras se habría disparado alrededor del 30%, lo que también encarecería el producto final.

Rendimiento de Intel 4: 21,5 % más de rendimiento y 40 % menos de potencia

Dejando atrás las mejoras de densidad, hay que hablar ahora de cifras de rendimiento para el proceso Intel 4. Y, según ha informado Intel, el rendimiento y la eficiencia energética tendrán un buen impulso con este nuevo nodo. Por tanto, para la Intel Core 14ª Gen, podemos esperar un gran paso.

Intel ve un aumento del 21.5% en cuanto a rendimiento (con iso-power 0.65v), ya que se podrán escalar las frecuencias de reloj más en comparación con Intel 7. No obstante, a medida que se va avanzando en el voltaje, cuando llegamos a las curvas de 0.85v en adelante mostradas por Intel en sus gráficos, el rendimiento cae hasta cerca del 10%.

En cuanto a la mejora de eficiencia energética, Intel 4 parece que con una frecuencia-iso de alrededor de 2.1 Ghz, Intel ha observado un consumo de energía un 40% menor que Intel 7. En definitiva, esto refleja un salto importante en cuanto a la relación rendimiento/consumo para este nodo.

¡Ahora solo falta esperar para ver si se confirman estas cifras y ver qué hace AMD al respecto!

Isaac

Geek de los sistemas electrónicos, especialmente del hardware informático. Con alma de escritor y pasión por compartir todo el conocimiento sobre tecnología.
Los datos de carácter personal que nos facilite mediante este formulario quedarán registrados en un fichero de Miguel Ángel Navas Carrera, con la finalidad de gestionar los comentarios que realizas en este blog. La legitimación se realiza a través del consentimiento del interesado. Si no se acepta no podrás comentar en este blog. Puedes consultar Política de privacidad. Puede ejercitar los derechos de acceso, rectificación, cancelación y oposición en [email protected]
Botón volver arriba