ProcesadoresTutoriales

Dark Silicon o silicio oscuro: qué es y qué retos supone

Dark silicon, o silicio oscuro, es otro de esos términos misteriosos que existen dentro de la industria de los semiconductores, especialmente en los chips avanzados de procesamiento como los ASICs tipo GPUs, CPUs, TPUs,, también a los SoCs, etc. Si sientes curiosidad por saber qué es y cómo afecta eso a tus chips, aquí va una completa guía con todas las claves de este término que parece tan siniestro…

¿Qué es Dark Silicon o silicio oscuro?

Dark Silicon

Las modernas unidades y sistemas de procesamiento sufren un fenómeno conocido como Dark Silicon. Como introducción, antes de proseguir, decir que cuando los transistores eran un «bien escaso», en la era de los primeros microprocesadores, los diseñadores se encargaban de que todos ellos estuvieran haciendo algo en todo momento para que se aprovechasen al máximo. Con la mejora de los nodos de fabricación y el abaratamiento de los integrados, el número de transistores ya no está tan limitado, y eso ha hecho que muchos de estos transistores se encuentren en muchas ocasiones en estado IDLE u ocioso.

Existen unidades que están paradas en algunos momentos, e incluso núcleos que se apagan en ciertas cargas de trabajo. Sin embargo, otras unidades están constantemente activas, como es el caso de las primeras etapas de la pipeline, como el decodificador de instrucciones.

De las decenas de miles de transistores hemos pasado en la actualidad a las decenas de miles de millones de transistores de las últimas unidades CPU y GPU. Y no todos esos transistores se encuentran trabajando constantemente. Por otro lado, los transistores no han venido reduciendo su consumo al mismo ritmo que el tamaño de los transistores (véase apartado de Dennard Scaling). No hay más que ver los problemas con los que se topó el Intel Pentium 4.

Todo esto ha llevado a que hoy tengamos unidades de procesamiento con consumos muy por encima de una bombilla convencional, ya que pueden llegar a superar los 100W, e incluso de varias centenas en el caso de las GPUs, lo que es alarmante. Y cuando algo genera tanto calor o potencia disipada, es complicado mantener la temperatura, mucho menos si actualmente se pusieran todos y cada uno de los millones de transistores a trabajar a la vez. Por eso hay zonas de transistores apagadas.

Son precisamente esas zonas las que se conocen como Dark Silicon o silicio oscuro. Unas zonas que se pueden entender como un problema o como una solución, ya que tienen una extraña dualidad:

  • Solución: al estar apagadas no están consumiendo, manteniendo a raya la potencia y la temperatura, por lo que son una solución a unidades tan inmensas como las actuales que si trabajasen en su plenitud, ser generarían temperaturas más extremas. Esta técnica consistente en oscurecer zonas del chip también está estrechamente relacionada con las tecnologías dinámicas de frecuencia, ya que permiten que otras partes activas trabajen con mayores márgenes de consumo y temperatura en un momento dado.
  • Problema: no resulta eficiente desde el punto de vista de la producción, ya que siempre era mejor tener todos los transistores y unidades funcionales ocupadas que desocupadas. Por ejemplo, los diseños VLIW se rechazaron por este mismo problema, ya que a veces, al no poder adaptar las instrucciones a la arquitectura superescalar de este tipo, no se conseguía «llenar» toda la longitud, haciendo que fuesen menos productivas. Es decir, ¿de qué sirve tener tantos transistores integrados si no se pueden usar? Estos transistores extra significan mayor superficie, mayor coste, menor yield, por lo que no son una ventaja si rara vez se usan.

La ley del escalado (Dennard Scaling)

5nm

De los micrones se pasó a los nanómetros, y cada vez se reducen más y más, y con ellos el tamaño de los transistores integrados, algo que tiene que ver con la ley conocida como Dennard Scaling.

La Dennard Scaling, o Ley de escalado, es otro de los fundamentos en los que se ha venido sosteniendo la historia del desarrollo de los chips. Además, esta estrechamente relacionada con la Ley de Moore y la Ley de Pollacks (las tres forman un triángulo fundamental en el que se ha venido apoyando la industria hasta ahora). Se puede usar la Ley de Moore y Dennard Scaling para determinar que cada vez que se duplica el número de transistores integrados en un circuito, con un consumo igual y un aumento de rendimiento del 40%. No obstante, estas leyes no siempre son exactas.

Su coautor es Robert H. Dennard, quien la escribió por primera vez en 1974 para los transistores MOSFET, aunque después se ha ido modificando y actualizando para los nuevos FinFET y otras nuevas estructuras que vendrán para sustituir a estos otros transistores de perfil de aleta.

La ley hace referencia a la densidad de potencia a la que establece como una constante a medida que los transistores se van haciendo más y más pequeños. Es decir, la energía permanece en proporción con el área debido a que la intensidad de corriente y voltaje también se reducen con el tamaño de los transistores integrados. Por tanto, como la potencia dinámica, o de switching, es proporcional a la frecuencia de reloj, con este decremento se ha podido ir aumentando la frecuencia de reloj sin aumentar d eforma significativa el consumo, aunque los últimos diseños han empezado a escapar de esta equidad.

Actualmente, con los problemas para seguir reduciendo más y más los transistores, estas leyes se han roto. Un ejemplo es Intel, y sus problemas para reducir los nm. De hecho, desde 2016 se han buscado alternativas para paliar los problemas de algunas compañías, como los empaquetados MCM o chiplest, hasta los nuevos empaquetados 3D.

Soluciones

En las numerosas NdP del sector de los semiconductores, especialmente en las relacionadas con ARM, habrás visto muchas referencias al término silicio oscuro o Dark Silicon. Y es que se está usando como otra arma más de marketing, aunque esta vez no es una falacia, sino que es un problema real como he comentado. Y es que, los diseños basados en Arm han dado con la clave para paliar parte de estos problemas del Dark Silicon, y lo han hecho con SoCs donde se reúnen varias soluciones parciales al problema:

  • Coprocesadores dedicados: por ejemplo, una FPU dedicada a los datos de coma flotante puede ser más eficiente que si esos datos se pasan por la canalización de enteros (recuerda la premisa: el silicio dedicado es siempre más eficiente que el genérico), ya que significaría más operaciones para obtener el mismo resultado y eso significa más ciclos de reloj, por tanto más consumo. Sin embargo, las últimas FPUs SIMD de los modernos x86 incluyen instrucciones extrañas que rara vez se usan por parte del software, por lo que está comenzando a no tener demasiado sentido seguir seguir agregando instrucciones y aumentando el tamaño de estas unidades cada vez más.
  • Multinúcleo heterogéneo: es lo que comercialmente se conoce como big.LITTLE en los ARM, aunque también se está usando ahora en el mundo x86, así como en los Apple Silicon. Se basa en usar varios núcleos de procesamiento diferentes, unos más simples y con menor rendimiento, y otros más grandes y complejos, pero con superior rendimiento. Así se van usando según las cargas de trabajo para optimizar el consumo de energía.
  • Aceleradores: los aceleradores específicos son otra de las soluciones que pueden ayudar. Algunos SoCs ya los están usando, con unidades DSP, TPU, etc., para acelerar algunas tareas que se ejecutan de forma más eficiente desde estas unidades. Además, los FPGAs integrados junto con las unidades de procesamiento también ayudan a programar e implementar este tipo de unidades optimizadas para cliente.

En principio, todas estas propuestas para paliar el inconveniente del Dark Silicon pueden parecer contraproducentes. Es decir, tanto las unidades de corprocesamiento, los aceleradores dedicados, y el uso de núcleos heterogéneos significa mayor complejidad del chip, más transistores, más ¿silicio oscuro? Realmente no, realmente aportan beneficios y hacen que se mejore la eficiencia a la hora de ejecutar diversas tareas, y haciendo que más partes estén activas a la vez, eludiendo el power gating.

Otras técnicas como el throttling, o escalado dinámico de frecuencia, también permiten reducir el reloj de las unidades funcionales activas para que sean más eficientes energéticamente y reduzcan su temperatura. No es necesario que una unidad trabaje al máximo de su frecuencia nominal si la carga de trabajo de ese instante no lo requiere. Por ejemplo, imagina una CPU que llega a los 3.2 Ghz. ¿Para qué usarla a esa velocidad cuando se ejecuta un editor de texto que podría funcionar bien incluso a 500 Mhz? *Recuerda que la potencia es en función de la intensidad de corriente, el voltaje y la frecuencia…

Conclusión

Con el aumento del tamaño de los dies, el número de transistores y la llegada de los MCM y 3D packaging, se espera, salvo cambios disruptivos, que la industria de los semiconductores irá dedicando cada vez más y más transistores a tareas que reara vez se dan dado que será más barato producir más cantidad de dispositivos semiconductores. En definitiva, nuevos retos que deberán superarse para seguir manteniendo la potencia controlada.

El 3D packaging está suponiendo nuevos retos de disipación de potencia.

Te recomendamos la lectura de nuestra guía sobre los mejores procesadores del mercado

En definitiva, el Dark Silicon, y la búsqueda de eficiencia van a cambiar el conjunto de restricciones que los diseñadores de unidades de procesamiento avanzadas deben tener en cuenta en la última década. Lanzar un nuevo procesador al mercado llva entre 3 y 5 años de desarrollo de la microarquitectura, y eso hay que sincronizarlo de algún modo con los procesos de fabricación. Algo extremadamente complicado, por lo que para cuando estén en producción los chips, es probable que las cifras no cuadren con las expectativas.

Isaac

Geek de los sistemas electrónicos, especialmente del hardware informático. Con alma de escritor y pasión por compartir todo el conocimiento sobre tecnología.
Los datos de carácter personal que nos facilite mediante este formulario quedarán registrados en un fichero de Miguel Ángel Navas Carrera, con la finalidad de gestionar los comentarios que realizas en este blog. La legitimación se realiza a través del consentimiento del interesado. Si no se acepta no podrás comentar en este blog. Puedes consultar Política de privacidad. Puede ejercitar los derechos de acceso, rectificación, cancelación y oposición en [email protected]
Botón volver arriba